EDN电子技术论坛-电子行业专业的讨论交流电子设 bbs.ednchina.com

EDN电子技术论坛-电子行业专业的讨论交流电子设

站名: EDN电子技术论坛-电子行业专业的讨论交流电子设

网址: http://bbs.ednchina.com

目录: 电脑网络 > 软件编程

PR:

Alexa: 79,706

备案号:

服务器IP: -

描述: EDN China 电子设计技术论坛 提供电子工程师探讨交流电子设计技术的平台,分享模拟、电源、嵌入式系统、微处理器与DSP、可编程器件、测试测量、EDA、通信、汽车电子、医疗电子、消费电子和工业电子

查询: [ 网站综合查询 | PR查询 | Alexa排名 | 同IP网站 ]

详细介绍

this.offsetHeight ? (documentElement.clientHeight-this.offsetHeight)/2 : 0));">
IC厂商技术专区
Linear 技术论坛
专业技术交流专区
模拟
模拟器件技术专区
电源
射频微波
测试测量
通信与网络
单片机
ARM
嵌入式
外围设备
开发套件
DSP
ASIC/数字芯片
EDA
DFM,DFP,DFT
可编程器件
消费电子
汽车电子
工业电子
医疗电子
综合交流专区
创新技术论坛
新手专区
设计思路
信息反馈
设计经理人
工程师休憩园
评论专区
产品供求
FTP上传下载
市场活动
研讨会问答集锦
招聘求职
研讨会预告
合作交流
活动布奖专区
论坛版块快速通道
需要确认注册邮箱后才能开通博客,立即确认我的邮箱
设为主页 |
登录 | 现在注册 |
订阅电子快讯 |
申请杂志赠阅
LOGO
热点搜索:
IIC 2013访谈
LED
物联网
嵌入式
USB3.0
4G
文章
搜索
高级搜索
首页
新闻
设计中心
专题
下载
视频
论坛
博客
小组
微博
在线研讨会
模拟设计
电源技术
嵌入式系统
测试与测量
通信
EDA工具与服务
可编程器件
微处理器与DSP
消费电子设计
汽车电子
医疗电子
工业电子
[博客大赛]4月主题:可编程器件
[公告] 高级编辑器控件升级安装
说出你的DIY想法,一起动手DIY!
小细节大感动 记录技术点滴
文章
论坛
博客
小组
研讨会
快捷入口新!:
一周技术新帖|
消灭零回复|
精彩PK
1
2
3
4
5
诺基亚神机今天上市!最长待机35天
" target="_blank">
诺基亚神机今上市!最长待机35天,防水、摔不烂
请教电压检测IC使用
" target="_blank">
请教电压检测IC使用
这个运放电路应该怎么分析呢 R1 R2 C2分别起什么作用呢
" target="_blank">
这个运放电路R1 R2 C2分别起什么作用呢
趣味搞机:手机上装了很多APP会变得更重吗?
" target="_blank">
趣味搞机:手机上装了很多APP会变得更重吗?
220VAC信号如何转成低压直流?
" target="_blank">
220VAC信号如何转成低压直流?
xilinx ISE设计套装10.1下载 小细节中的大感动——分享技术瞬间赢购物卡 [下载已失效]SDRAM设计详解 ADI模拟技术训练营-西安站讲义(超详尽版,不能不看... 串口通信大全 分享锂电池充电,过放,保护电路详解 好资料分享——高效率同步整流MOSFET设计资料大全 小细节中的大感动——记录技术点滴赢 5份纪念品!9...
【斑竹感慨】模拟学习的功力与境界,...
【中英对照】同步降压转换器的开关节...
AD9914调试
TCL教程--时序约束、SDF、QSF等文件...
求助:ADC接地问题
单片机做的几个小玩意……
关于AD9914的调试问题
【斑竹好书推荐】日本电子电路精选!...
【博客大赛】可编程逻辑器件—我的学习感想
【博客大赛】有时候,连我自己都不知道还能走多远
【博客大赛】简易数字频率计
[博客大赛]四层板搞定--AT91SAM9X35
[博客大赛]如何由51快速转到MSP430的学习过程???...
如何使用PIC C30中的外设库函数?
【博客大赛】CMOS Sensor接口时序约束--下
数据采集系统MS5D, MS5
求助,交流采样电路中RC电路应用
求助 高稳定度高精度稳压芯片
求助,怎样用FPGA产生24MHz的频率呢?我是初学者,...
求助:AD421电路及场效应管问题
求助:请问AFD2AA和AFD21D是什么型号IC?
求助:ADC接地问题
AD8015求助
ad9958求助
论坛周报
下载&精华
活动&公告
热门推荐
【消费电子】
关于在BOOT下能读SD卡,进入OS之后却读不到卡的问题
问题比较诡异,基本排除软件原因,系统延时和时钟速率基本都没问题。
排除SD卡PCB走线过长原因,因为我断开了外部卡座,直接飞了一个上去。...
【ARM】
stm32串口发送数据问题很多,求帮助!
程序是在定时器中断函数中读取计数器的值,然后把这个值通过串口发送给电脑。发送的值在150左右。而把它改到main函数主循环中,通过串口将数据发送给电脑,发送的值就全变成0了,这到底是怎么回事啊?求指导。..
【可编程器件】
请教modelsim仿真ROM的问题,输出一直是高阻态!!
modelsim.ini文件已经改过了~只不过调用modelsim时是在quartus ii中直接调用的
求解啊!!!改了一天了~就是不能输出正确结果!!!我到底哪弄错了啊?...
【设计思路】
一款三相电机缺相保护电路
【EDA】
怎样用FPGA产生24MHz的频率呢?

【可编程器件】
debug-用verilog 编的PWM程序
【单片机】
单片机 8路模拟量采集 4个继电器控制 GPRS传输
【消费电子】
‘英语牛人’看过来。。。翻译再翻译
捷多邦
零-1
journy
xinruide
Ken YE
FPGA应用开发入门与典型实例_源程序
NEW!
FPGA应用开发入门与典型实例_源程序--光盘资料。
文件比较大,分多个部分下载
altera的代码规范
altera的代码规范Verilog_Coding_Style_Proposal_final.pdf
【斑竹好书推荐】日本电子电路精选!
在网上淘得一本好书,虽说是一本非高清和年纪较老的书,然而,一看内容,不得不为日本人设计的电路所叹服。里面内容还是很不错的。近百个电路,一一经典。不敢独享,与大家共享。
【下载】
pads9.3完整版种子
【热帖】
没智商没背景没资本,你还不学习?
【资料】大学生电子设计竞赛资料共享
【下载】TCL教程--时序约束、SDF、QSF等文件编写必须掌握的语言
【冷知识】
运算放大器相关资料整理
ytfdhb
ytfdhb
shanlianfu
wdzfd
活出自我
【小细节大感动】记录技术点滴赢5份纪念品! NEW!
作为电子工程师(或准电子工程师),你在日常实验或者项目操作中肯定遇到过各种各样的事情,或搞笑或顿悟或悲伤或感动。例如小编就曾经看到过一帮男的做实验做累了齐齐倒头趴睡在实验桌的情景,还看过犯傻抄起板子当苍蝇拍的搞笑照片,还听过那些,做着项目钻牛角尖纠结半天,一拍大腿突然顿悟开窍晓得解决之道的事情。
【版主招募】
201

猜你喜欢